[abc80] abc80 på DE1 (fpga-kort) - henger?

Torfinn Ingolfsen tingox at gmail.com
Mon Aug 10 14:05:53 PDT 2009


Ok, mer info.

On Mon, Aug 10, 2009 at 5:41 PM, H. Peter Anvin <hpa at zytor.com> wrote:

> On 08/10/2009 08:14 AM, H. Peter Anvin wrote:
> > On 08/10/2009 04:15 AM, Torfinn Ingolfsen wrote:
> >>     Warning (15610): No output dependent on input pin "clock_24[0]"
> >>     Warning (15610): No output dependent on input pin "clock_24[1]"
> >
> > Det verkar skumt... såvitt jag kommer ihåg användes clock_24 för att
> > generera en 16 MHz klocka till ljudenheten...
> >
>


- lastet ned Quartus II 8.1  Web Edition og installert
- pakket opp abc80-de1-17.zip til en ny folder og kompilert

Her er de warnings jeg får:
Warning (10463): Verilog HDL Declaration warning at sddisk.v(143): "do" is
SystemVerilog-2005 keyword
Warning (10463): Verilog HDL Declaration warning at keyboard.v(76): "do" is
SystemVerilog-2005 keyword
Warning (10229): Verilog HDL Expression warning at keyboard.v(93): truncated
literal to match 3 bits
Warning (10463): Verilog HDL Declaration warning at abc80.v(1011): "do" is
SystemVerilog-2005 keyword
Warning (10036): Verilog HDL or VHDL warning at abc80.v(479): object
"v24_cts" assigned a value but never read
Warning (10230): Verilog HDL assignment warning at abc80.v(132): truncated
value with size 32 to match size of target (7)
Warning (10230): Verilog HDL assignment warning at abc80.v(187): truncated
value with size 32 to match size of target (9)
Warning (10230): Verilog HDL assignment warning at abc80.v(191): truncated
value with size 32 to match size of target (3)
Warning (10230): Verilog HDL assignment warning at abc80.v(196): truncated
value with size 32 to match size of target (10)
Warning (10230): Verilog HDL assignment warning at abc80.v(760): truncated
value with size 32 to match size of target (4)
Warning (10230): Verilog HDL assignment warning at abc80.v(883): truncated
value with size 32 to match size of target (2)
Warning (10230): Verilog HDL assignment warning at abc80.v(945): truncated
value with size 32 to match size of target (5)
Warning (10034): Output port "dram_ba[1]" at abc80.v(48) has no driver
Warning (10034): Output port "dram_ba[0]" at abc80.v(48) has no driver
Warning (10034): Output port "dram_ras_n" at abc80.v(49) has no driver
Warning (10034): Output port "dram_cas_n" at abc80.v(50) has no driver
Warning (10034): Output port "dram_cke" at abc80.v(51) has no driver
Warning (10034): Output port "dram_clk" at abc80.v(52) has no driver
Warning (10034): Output port "dram_cs_n" at abc80.v(53) has no driver
Warning (10034): Output port "dram_we_n" at abc80.v(54) has no driver
Warning (10034): Output port "dram_dqm[1]" at abc80.v(55) has no driver
Warning (10034): Output port "dram_dqm[0]" at abc80.v(55) has no driver
Warning (10034): Output port "dram_a[11]" at abc80.v(56) has no driver
Warning (10034): Output port "dram_a[10]" at abc80.v(56) has no driver
Warning (10034): Output port "dram_a[9]" at abc80.v(56) has no driver
Warning (10034): Output port "dram_a[8]" at abc80.v(56) has no driver
Warning (10034): Output port "dram_a[7]" at abc80.v(56) has no driver
Warning (10034): Output port "dram_a[6]" at abc80.v(56) has no driver
Warning (10034): Output port "dram_a[5]" at abc80.v(56) has no driver
Warning (10034): Output port "dram_a[4]" at abc80.v(56) has no driver
Warning (10034): Output port "dram_a[3]" at abc80.v(56) has no driver
Warning (10034): Output port "dram_a[2]" at abc80.v(56) has no driver
Warning (10034): Output port "dram_a[1]" at abc80.v(56) has no driver
Warning (10034): Output port "dram_a[0]" at abc80.v(56) has no driver
Warning (10230): Verilog HDL assignment warning at display.v(117): truncated
value with size 32 to match size of target (5)
Warning (10230): Verilog HDL assignment warning at display.v(376): truncated
value with size 32 to match size of target (6)
Warning (10230): Verilog HDL assignment warning at display.v(379): truncated
value with size 32 to match size of target (9)
Warning (10230): Verilog HDL assignment warning at display.v(382): truncated
value with size 32 to match size of target (10)
Warning (10036): Verilog HDL or VHDL warning at t80pio.v(58): object
"IORQ_n_old" assigned a value but never read
Warning (10230): Verilog HDL assignment warning at t80pio.v(89): truncated
value with size 32 to match size of target (1)
Warning (10230): Verilog HDL assignment warning at sddisk.v(284): truncated
value with size 32 to match size of target (11)
Warning (10230): Verilog HDL assignment warning at sddisk.v(285): truncated
value with size 32 to match size of target (9)
Warning (10230): Verilog HDL assignment warning at sddisk.v(397): truncated
value with size 32 to match size of target (6)
Warning (10230): Verilog HDL assignment warning at sddisk.v(431): truncated
value with size 32 to match size of target (3)
Warning (10230): Verilog HDL assignment warning at sddisk.v(523): truncated
value with size 32 to match size of target (22)
Warning (10230): Verilog HDL assignment warning at sddisk.v(527): truncated
value with size 32 to match size of target (22)
Warning (10230): Verilog HDL assignment warning at printer.v(123): truncated
value with size 32 to match size of target (4)
Warning (10230): Verilog HDL assignment warning at printer.v(144): truncated
value with size 32 to match size of target (8)
Warning (10230): Verilog HDL assignment warning at printer.v(146): truncated
value with size 32 to match size of target (8)
Warning (10230): Verilog HDL assignment warning at printer.v(151): truncated
value with size 32 to match size of target (4)
Warning (10230): Verilog HDL assignment warning at printer.v(175): truncated
value with size 32 to match size of target (4)
Warning (10230): Verilog HDL assignment warning at printer.v(182): truncated
value with size 32 to match size of target (4)
Warning (10230): Verilog HDL assignment warning at printer.v(199): truncated
value with size 9 to match size of target (8)
Warning (10230): Verilog HDL assignment warning at printer.v(217): truncated
value with size 32 to match size of target (8)
Warning (10230): Verilog HDL assignment warning at printer.v(220): truncated
value with size 32 to match size of target (8)
Warning (10230): Verilog HDL assignment warning at printer.v(231): truncated
value with size 32 to match size of target (4)
Warning (10230): Verilog HDL assignment warning at debounce.v(39): truncated
value with size 32 to match size of target (16)
Warning (10230): Verilog HDL assignment warning at debounce.v(46): truncated
value with size 32 to match size of target (16)
Warning (10230): Verilog HDL assignment warning at sound.v(317): truncated
value with size 32 to match size of target (8)
Warning (10230): Verilog HDL assignment warning at sound.v(45): truncated
value with size 32 to match size of target (14)
Warning (10230): Verilog HDL assignment warning at sound.v(47): truncated
value with size 32 to match size of target (14)
Warning (10230): Verilog HDL assignment warning at sound.v(71): truncated
value with size 32 to match size of target (2)
Warning (10230): Verilog HDL assignment warning at sound.v(74): truncated
value with size 32 to match size of target (14)
Warning (10036): Verilog HDL or VHDL warning at sound.v(148): object "out"
assigned a value but never read
Warning (10230): Verilog HDL assignment warning at sound.v(163): truncated
value with size 32 to match size of target (11)
Warning (10230): Verilog HDL assignment warning at sound.v(203): truncated
value with size 32 to match size of target (14)
Warning (10230): Verilog HDL assignment warning at sound.v(208): truncated
value with size 32 to match size of target (14)
Warning (10230): Verilog HDL assignment warning at i2c.v(75): truncated
value with size 32 to match size of target (2)
Warning (10230): Verilog HDL assignment warning at i2c.v(84): truncated
value with size 32 to match size of target (5)
Warning (10230): Verilog HDL assignment warning at i2c.v(154): truncated
value with size 32 to match size of target (4)
Warning (12020): Port "busrq_n" on the entity instantiation of "cpu" is
connected to a signal of width 32. The formal width of the signal in the
module is 1.  The extra bits will be ignored.
Warning (12020): Port "ASTB_n" on the entity instantiation of "piob" is
connected to a signal of width 32. The formal width of the signal in the
module is 1.  The extra bits will be ignored.
Warning (12020): Port "BSTB_n" on the entity instantiation of "piob" is
connected to a signal of width 32. The formal width of the signal in the
module is 1.  The extra bits will be ignored.
Warning (12020): Port "BSTB_n" on the entity instantiation of "pioa" is
connected to a signal of width 32. The formal width of the signal in the
module is 1.  The extra bits will be ignored.
Warning (12020): Port "IEI" on the entity instantiation of "pioa" is
connected to a signal of width 32. The formal width of the signal in the
module is 1.  The extra bits will be ignored.
Warning (12020): Port "address_b" on the entity instantiation of "char_gen"
is connected to a signal of width 12. The formal width of the signal in the
module is 11.  The extra bits will be ignored.
Warning (12020): Port "wren_a" on the entity instantiation of "char_gen" is
connected to a signal of width 32. The formal width of the signal in the
module is 1.  The extra bits will be ignored.
Warning (12020): Port "address_b" on the entity instantiation of "video_ram"
is connected to a signal of width 12. The formal width of the signal in the
module is 11.  The extra bits will be ignored.
Warning (12020): Port "wren_a" on the entity instantiation of "video_ram" is
connected to a signal of width 32. The formal width of the signal in the
module is 1.  The extra bits will be ignored.
Warning (12020): Port "busrq_n" on the entity instantiation of "kbd_cpu" is
connected to a signal of width 32. The formal width of the signal in the
module is 1.  The extra bits will be ignored.
Warning (12020): Port "int_n" on the entity instantiation of "kbd_cpu" is
connected to a signal of width 32. The formal width of the signal in the
module is 1.  The extra bits will be ignored.
Warning (12020): Port "nmi_n" on the entity instantiation of "kbd_cpu" is
connected to a signal of width 32. The formal width of the signal in the
module is 1.  The extra bits will be ignored.
Warning (12020): Port "wait_n" on the entity instantiation of "kbd_cpu" is
connected to a signal of width 32. The formal width of the signal in the
module is 1.  The extra bits will be ignored.
Warning: The following bidir pins have no drivers
    Warning: Bidir "dram_dq[0]" has no driver
    Warning: Bidir "dram_dq[1]" has no driver
    Warning: Bidir "dram_dq[2]" has no driver
    Warning: Bidir "dram_dq[3]" has no driver
    Warning: Bidir "dram_dq[4]" has no driver
    Warning: Bidir "dram_dq[5]" has no driver
    Warning: Bidir "dram_dq[6]" has no driver
    Warning: Bidir "dram_dq[7]" has no driver
    Warning: Bidir "dram_dq[8]" has no driver
    Warning: Bidir "dram_dq[9]" has no driver
    Warning: Bidir "dram_dq[10]" has no driver
    Warning: Bidir "dram_dq[11]" has no driver
    Warning: Bidir "dram_dq[12]" has no driver
    Warning: Bidir "dram_dq[13]" has no driver
    Warning: Bidir "dram_dq[14]" has no driver
    Warning: Bidir "dram_dq[15]" has no driver
    Warning: Bidir "gpio_0[0]" has no driver
    Warning: Bidir "gpio_0[1]" has no driver
    Warning: Bidir "gpio_0[2]" has no driver
    Warning: Bidir "gpio_0[3]" has no driver
    Warning: Bidir "gpio_0[4]" has no driver
    Warning: Bidir "gpio_0[5]" has no driver
    Warning: Bidir "gpio_0[6]" has no driver
    Warning: Bidir "gpio_0[7]" has no driver
    Warning: Bidir "gpio_0[8]" has no driver
    Warning: Bidir "gpio_0[9]" has no driver
    Warning: Bidir "gpio_0[10]" has no driver
    Warning: Bidir "gpio_0[11]" has no driver
    Warning: Bidir "gpio_0[12]" has no driver
    Warning: Bidir "gpio_0[13]" has no driver
    Warning: Bidir "gpio_0[14]" has no driver
    Warning: Bidir "gpio_0[15]" has no driver
    Warning: Bidir "gpio_0[16]" has no driver
    Warning: Bidir "gpio_0[17]" has no driver
    Warning: Bidir "gpio_0[18]" has no driver
    Warning: Bidir "gpio_0[19]" has no driver
    Warning: Bidir "gpio_0[20]" has no driver
    Warning: Bidir "gpio_0[21]" has no driver
    Warning: Bidir "gpio_0[22]" has no driver
    Warning: Bidir "gpio_0[23]" has no driver
    Warning: Bidir "gpio_0[24]" has no driver
    Warning: Bidir "gpio_0[25]" has no driver
    Warning: Bidir "gpio_0[26]" has no driver
    Warning: Bidir "gpio_0[27]" has no driver
    Warning: Bidir "gpio_0[28]" has no driver
    Warning: Bidir "gpio_0[29]" has no driver
    Warning: Bidir "gpio_0[30]" has no driver
    Warning: Bidir "gpio_0[31]" has no driver
    Warning: Bidir "gpio_0[32]" has no driver
    Warning: Bidir "gpio_0[33]" has no driver
    Warning: Bidir "gpio_0[34]" has no driver
    Warning: Bidir "gpio_0[35]" has no driver
    Warning: Bidir "gpio_1[0]" has no driver
    Warning: Bidir "gpio_1[1]" has no driver
    Warning: Bidir "gpio_1[2]" has no driver
    Warning: Bidir "gpio_1[3]" has no driver
    Warning: Bidir "gpio_1[4]" has no driver
    Warning: Bidir "gpio_1[5]" has no driver
    Warning: Bidir "gpio_1[6]" has no driver
    Warning: Bidir "gpio_1[7]" has no driver
    Warning: Bidir "gpio_1[8]" has no driver
    Warning: Bidir "gpio_1[9]" has no driver
    Warning: Bidir "gpio_1[10]" has no driver
    Warning: Bidir "gpio_1[11]" has no driver
    Warning: Bidir "gpio_1[12]" has no driver
    Warning: Bidir "gpio_1[13]" has no driver
    Warning: Bidir "gpio_1[14]" has no driver
    Warning: Bidir "gpio_1[15]" has no driver
    Warning: Bidir "gpio_1[16]" has no driver
    Warning: Bidir "gpio_1[17]" has no driver
    Warning: Bidir "gpio_1[18]" has no driver
    Warning: Bidir "gpio_1[19]" has no driver
    Warning: Bidir "gpio_1[20]" has no driver
    Warning: Bidir "gpio_1[21]" has no driver
    Warning: Bidir "gpio_1[22]" has no driver
    Warning: Bidir "gpio_1[23]" has no driver
    Warning: Bidir "gpio_1[24]" has no driver
    Warning: Bidir "gpio_1[25]" has no driver
    Warning: Bidir "gpio_1[26]" has no driver
    Warning: Bidir "gpio_1[27]" has no driver
    Warning: Bidir "gpio_1[28]" has no driver
    Warning: Bidir "gpio_1[29]" has no driver
    Warning: Bidir "gpio_1[30]" has no driver
    Warning: Bidir "gpio_1[31]" has no driver
    Warning: Bidir "gpio_1[32]" has no driver
    Warning: Bidir "gpio_1[33]" has no driver
    Warning: Bidir "gpio_1[34]" has no driver
    Warning: Bidir "gpio_1[35]" has no driver
    Warning: Bidir "fl_dq[0]" has no driver
    Warning: Bidir "fl_dq[1]" has no driver
    Warning: Bidir "fl_dq[2]" has no driver
    Warning: Bidir "fl_dq[3]" has no driver
    Warning: Bidir "fl_dq[4]" has no driver
    Warning: Bidir "fl_dq[5]" has no driver
    Warning: Bidir "fl_dq[6]" has no driver
    Warning: Bidir "fl_dq[7]" has no driver
    Warning: Bidir "sd_dat0" has no driver
Warning: Open-drain buffer(s) that do not directly drive top-level pin(s)
are removed
    Warning: Converted the fanout from the open-drain buffer
"keyboard:keyboard|ps2_mclk" to the node "keyboard:keyboard|cpu_di[3]" into
a wire
    Warning: Converted the fanout from the open-drain buffer
"keyboard:keyboard|ps2_mdata" to the node "keyboard:keyboard|cpu_di[2]" into
a wire
Warning: Presettable and clearable registers converted to equivalent
circuits with latches. Registers power-up to an undefined state, and DEVCLRn
places the registers in an undefined state.
    Warning (13310): Register "video_width" is converted into an equivalent
circuit using register "video_width~_emulated" and latch "video_width~latch"
Warning: Output pins are stuck at VCC or GND
    Warning (13410): Pin "fl_oe_n" is stuck at GND
    Warning (13410): Pin "fl_we_n" is stuck at VCC
    Warning (13410): Pin "fl_a[20]" is stuck at GND
    Warning (13410): Pin "fl_a[21]" is stuck at GND
    Warning (13410): Pin "dram_ba[0]" is stuck at GND
    Warning (13410): Pin "dram_ba[1]" is stuck at GND
    Warning (13410): Pin "dram_ras_n" is stuck at GND
    Warning (13410): Pin "dram_cas_n" is stuck at GND
    Warning (13410): Pin "dram_cke" is stuck at GND
    Warning (13410): Pin "dram_clk" is stuck at GND
    Warning (13410): Pin "dram_cs_n" is stuck at GND
    Warning (13410): Pin "dram_we_n" is stuck at GND
    Warning (13410): Pin "dram_dqm[0]" is stuck at GND
    Warning (13410): Pin "dram_dqm[1]" is stuck at GND
    Warning (13410): Pin "dram_a[0]" is stuck at GND
    Warning (13410): Pin "dram_a[1]" is stuck at GND
    Warning (13410): Pin "dram_a[2]" is stuck at GND
    Warning (13410): Pin "dram_a[3]" is stuck at GND
    Warning (13410): Pin "dram_a[4]" is stuck at GND
    Warning (13410): Pin "dram_a[5]" is stuck at GND
    Warning (13410): Pin "dram_a[6]" is stuck at GND
    Warning (13410): Pin "dram_a[7]" is stuck at GND
    Warning (13410): Pin "dram_a[8]" is stuck at GND
    Warning (13410): Pin "dram_a[9]" is stuck at GND
    Warning (13410): Pin "dram_a[10]" is stuck at GND
    Warning (13410): Pin "dram_a[11]" is stuck at GND
Warning: Design contains 5 input pin(s) that do not drive logic
    Warning (15610): No output dependent on input pin "clock_24[1]"
    Warning (15610): No output dependent on input pin "clock_27[0]"
    Warning (15610): No output dependent on input pin "clock_27[1]"
    Warning (15610): No output dependent on input pin "ext_clock"
    Warning (15610): No output dependent on input pin "aud_adcdat"

Som du ser, de om clock_24 er fortsatt der....

Hvorfor er det slik på min maskin, og ikke på din?

-- 
Regards,
Torfinn Ingolfsen


More information about the ABC80 mailing list